欢迎来到Wabc (wabc.cc)

PCIE_DMA

价格: ¥ 9 金币可抵¥ 9

最后更新: 2020-01-04 17:48:08

演示地址:

指导费: 免费

分类
参数1
参数2
参数3
参数4
参数5
参数6
大小
2 MB
发货方式
自动发货
下载方式
远程下载
1
1
1
备注说明

所属分类压缩解压
开发工具:Others
文件大小:8567KB
下载次数:41
上传日期:2017-05-14 08:41:44
说明:  pcie dam程序,可以实现fpga 功能,与pc通信
(pcie and dma code)

文件列表
PCIE_DMA
........\bmd_design.v,52685,2015-02-26
........\BMD_TX_ENGINE_summary.html,4269,2015-02-26
........\gtpa1_dual_wrapper.v,16439,2015-02-26
........\gtpa1_dual_wrapper_tile.v,38494,2015-02-26
........\iseconfig
........\.........\BMD_TX_ENGINE.xreport,21367,2015-02-26
........\.........\xilinx_pcie_1_1_ep_s6.v.projectmgr,9531,2016-03-18
........\.........\xilinx_pcie_1_1_ep_s6.xreport,21238,2016-03-18
........\par_usage_statistics.html,4151,2016-03-18
........\pcie_brams_s6.v,8185,2015-02-26
........\pcie_bram_s6.v,6099,2015-02-26
........\pcie_bram_top_s6.v,5681,2015-02-26
........\SRC
........\...\BMD
........\...\...\BMD_32_RX_ENGINE.v,16124,2009-11-10
........\...\...\BMD_32_TX_ENGINE.v,29235,2015-04-17
........\...\...\common
........\...\...\......\BMD.v,12432,2015-04-17
........\...\...\......\BMD_CFG_CTRL.v,5098,2009-10-22
........\...\...\......\BMD_EP.v,25569,2015-04-17
........\...\...\......\BMD_EP_MEM.v,25569,2015-04-17
........\...\...\......\BMD_EP_MEM_ACCESS.v,17068,2015-04-17
........\...\...\......\BMD_INTR_CTRL.v,8647,2010-05-16
........\...\...\......\BMD_RD_THROTTLE.v,7624,2009-10-22
........\...\...\......\BMD_TO_CTRL.v,2379,2009-10-22
........\...\...\s6_pci_exp_32b_app.v,8971,2015-04-17
........\...\bmd_design
........\...\..........\bmd_design
........\...\..........\..........\doc
........\...\..........\..........\...\s6_pcie_ds718.pdf,174278,2016-03-18
........\...\..........\..........\...\s6_pcie_ug654.pdf,52979,2016-03-18
........\...\..........\..........\example_design
........\...\..........\..........\..............\pcie_app_s6.v,7674,2016-03-18
........\...\..........\..........\..............\PIO.v,5551,2016-03-18
........\...\..........\..........\..............\PIO_32_RX_ENGINE.v,18903,2016-03-18
........\...\..........\..........\..............\PIO_32_TX_ENGINE.v,12292,2016-03-18
........\...\..........\..........\..............\PIO_EP.v,7893,2016-03-18
........\...\..........\..........\..............\PIO_EP_MEM.v,13276,2016-03-18
........\...\..........\..........\..............\PIO_EP_MEM_ACCESS.v,10884,2016-03-18
........\...\..........\..........\..............\PIO_TO_CTRL.v,3635,2016-03-18
........\...\..........\..........\..............\xilinx_pcie_1_1_ep_s6.v,18055,2016-03-18
........\...\..........\..........\..............\xilinx_pcie_1_lane_ep_xc6slx45t-fgg484-3.ucf,6183,2016-03-18
........\...\..........\..........\implement
........\...\..........\..........\.........\implement.bat,1111,2016-03-18
........\...\..........\..........\.........\implement.sh,965,2016-03-18
........\...\..........\..........\.........\xst.prj,712,2016-03-18
........\...\..........\..........\.........\xst.scr,137,2016-03-18
........\...\..........\..........\s6_pcie_readme.txt,6088,2016-03-18
........\...\..........\..........\simulation
........\...\..........\..........\..........\dsport
........\...\..........\..........\..........\......\gtx_drp_chanalign_fix_3752_v6.v,6817,2016-03-18
........\...\..........\..........\..........\......\gtx_rx_valid_filter_v6.v,11752,2016-03-18
........\...\..........\..........\..........\......\gtx_tx_sync_rate_v6.v,13971,2016-03-18
........\...\..........\..........\..........\......\gtx_wrapper_v6.v,26730,2016-03-18
........\...\..........\..........\..........\......\pcie_2_0_rport_v6.v,54027,2016-03-18
........\...\..........\..........\..........\......\pcie_2_0_v6_rp.v,81864,2016-03-18
........\...\..........\..........\..........\......\pcie_brams_v6.v,8352,2016-03-18
........\...\..........\..........\..........\......\pcie_bram_top_v6.v,5981,2016-03-18
........\...\..........\..........\..........\......\pcie_bram_v6.v,11345,2016-03-18
........\...\..........\..........\..........\......\pcie_clocking_v6.v,11559,2016-03-18
........\...\..........\..........\..........\......\pcie_gtx_v6.v,24144,2016-03-18
........\...\..........\..........\..........\......\pcie_pipe_lane_v6.v,12687,2016-03-18
........\...\..........\..........\..........\......\pcie_pipe_misc_v6.v,7651,2016-03-18
........\...\..........\..........\..........\......\pcie_pipe_v6.v,36163,2016-03-18
........\...\..........\..........\..........\......\pcie_reset_delay_v6.v,4011,2016-03-18
........\...\..........\..........\..........\......\pcie_upconfig_fix_3451_v6.v,5595,2016-03-18
........\...\..........\..........\..........\......\pci_exp_usrapp_cfg.v,6844,2016-03-18
........\...\..........\..........\..........\......\pci_exp_usrapp_com.v,74552,2016-03-18
........\...\..........\..........\..........\......\pci_exp_usrapp_pl.v,4271,2016-03-18
........\...\..........\..........\..........\......\pci_exp_usrapp_rx.v,8600,2016-03-18
........\...\..........\..........\..........\......\pci_exp_usrapp_tx.v,105840,2016-03-18
........\...\..........\..........\..........\......\xilinx_pcie_2_0_rport_v6.v,18688,2016-03-18
........\...\..........\..........\..........\functional
........\...\..........\..........\..........\..........\board.f,1284,2016-03-18
........\...\..........\..........\..........\..........\board.v,5755,2016-03-18
........\...\..........\..........\..........\..........\isim_cmd.tcl,17,2016-03-18
........\...\..........\..........\..........\..........\simulate_isim.bat,674,2016-03-18
........\...\..........\..........\..........\..........\simulate_isim.sh,643,2016-03-18
........\...\..........\..........\..........\..........\simulate_mti.do,268,2016-03-18
........\...\..........\..........\..........\..........\simulate_ncsim.sh,780,2016-03-18
........\...\..........\..........\..........\..........\simulate_vcs.sh,1022,2016-03-18
........\...\..........\..........\..........\..........\sys_clk_gen.v,2928,2016-03-18
........\...\..........\..........\..........\..........\sys_clk_gen_ds.v,3067,2016-03-18
........\...\..........\..........\..........\..........\wave.do,2134,2016-03-18
........\...\..........\..........\..........\..........\wave.sv,2922,2016-03-18
........\...\..........\..........\..........\..........\wave.tcl,1443,2016-03-18
........\...\..........\..........\..........\..........\wave.wcfg,9939,2016-03-18
........\...\..........\..........\..........\tests
........\...\..........\..........\..........\.....\tests.v,18647,2016-03-18
........\...\..........\..........\source
........\...\..........\..........\......\bmd_design.v,52685,2016-03-18
........\...\..........\..........\......\gtpa1_dual_wrapper.v,16439,2016-03-18
........\...\..........\..........\......\gtpa1_dual_wrapper_tile.v,38494,2016-03-18
........\...\..........\..........\......\pcie_brams_s6.v,8185,2016-03-18
........\...\..........\..........\......\pcie_bram_s6.v,6099,2016-03-18
........\...\..........\..........\......\pcie_bram_top_s6.v,5681,2016-03-18
........\...\..........\bmd_design.gise,1171,2016-03-18
........\...\..........\bmd_design.ncf,0,2016-03-18
........\...\..........\bmd_design.veo,7345,2016-03-18

0 (0%)

好评

0 (0%)

中评

0 (0%)

差评

  • 在线客服

    点击这里给我发消息

    官方微信

    仅处理投诉、举报及平台使用问题;
    商品问题请咨询商家客服!

浏览记录