欢迎来到Wabc (wabc.cc)

AD7606

价格: ¥ 9 金币可抵¥ 9

最后更新: 2019-12-23 19:09:59

演示地址:

指导费: 免费

分类
参数1
参数2
参数3
参数4
参数5
参数6
大小
1 MB
发货方式
自动发货
下载方式
远程下载
1
1
1
备注说明

所属分类中间件编程
开发工具:Verilog
文件大小:3683KB
下载次数:0
上传日期:2019-11-28 17:00:49
说明:  AD7606采集程序,8通道数据输入,串行输出程序
(AD7606 acquisition program, 8-channel data input, serial output program)

文件列表
AD7606\AD7606.cache\ip\2017.4\0032a2360eb8fccf\0032a2360eb8fccf.xci, 398339 , 2019-07-18
AD7606\AD7606.cache\ip\2017.4\0032a2360eb8fccf\u_ila_0_CV.dcp, 700389 , 2019-07-18
AD7606\AD7606.cache\ip\2017.4\5baa58909902b0b7\5baa58909902b0b7.xci, 398341 , 2019-07-18
AD7606\AD7606.cache\ip\2017.4\5baa58909902b0b7\u_ila_0_CV.dcp, 1601303 , 2019-07-18
AD7606\AD7606.cache\ip\2017.4\605f8defaf51546d\605f8defaf51546d.xci, 7366 , 2019-07-17
AD7606\AD7606.cache\ip\2017.4\605f8defaf51546d\dbg_hub_CV.dcp, 329962 , 2019-07-17
AD7606\AD7606.cache\ip\2017.4\7ec442e678616a2d\7ec442e678616a2d.xci, 398339 , 2019-07-17
AD7606\AD7606.cache\ip\2017.4\7ec442e678616a2d\u_ila_0_CV.dcp, 637932 , 2019-07-17
AD7606\AD7606.cache\wt\gui_handlers.wdf, 7623 , 2019-07-18
AD7606\AD7606.cache\wt\java_command_handlers.wdf, 2122 , 2019-07-18
AD7606\AD7606.cache\wt\project.wpc, 121 , 2019-07-18
AD7606\AD7606.cache\wt\synthesis.wdf, 5394 , 2019-07-18
AD7606\AD7606.cache\wt\synthesis_details.wdf, 100 , 2019-07-18
AD7606\AD7606.cache\wt\webtalk_pa.xml, 7096 , 2019-07-18
AD7606\AD7606.hw\AD7606.lpr, 343 , 2019-07-17
AD7606\AD7606.hw\backup\hw_ila_data_1.ila, 8501 , 2019-07-17
AD7606\AD7606.hw\hw_1\hw.xml, 4223 , 2019-07-18
AD7606\AD7606.hw\hw_1\wave\hw_ila_data_1\hw_ila_data_1.wcfg, 1824 , 2019-07-17
AD7606\AD7606.hw\hw_1\wave\hw_ila_data_1\hw_ila_data_1.wdb, 4059 , 2019-07-17
AD7606\AD7606.ip_user_files\README.txt, 130 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_1.xml, 418 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_10.xml, 217 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_11.xml, 234 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_12.xml, 234 , 2019-07-18
AD7606\AD7606.runs\.jobs\vrs_config_13.xml, 234 , 2019-07-18
AD7606\AD7606.runs\.jobs\vrs_config_14.xml, 234 , 2019-07-18
AD7606\AD7606.runs\.jobs\vrs_config_15.xml, 421 , 2019-07-18
AD7606\AD7606.runs\.jobs\vrs_config_16.xml, 421 , 2019-07-18
AD7606\AD7606.runs\.jobs\vrs_config_2.xml, 421 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_3.xml, 421 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_4.xml, 217 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_5.xml, 217 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_6.xml, 217 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_7.xml, 217 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_8.xml, 217 , 2019-07-17
AD7606\AD7606.runs\.jobs\vrs_config_9.xml, 217 , 2019-07-17
AD7606\AD7606.runs\impl_1\.init_design.begin.rst, 180 , 2019-07-18
AD7606\AD7606.runs\impl_1\.init_design.end.rst, 0 , 2019-07-18
AD7606\AD7606.runs\impl_1\.opt_design.begin.rst, 180 , 2019-07-18
AD7606\AD7606.runs\impl_1\.opt_design.end.rst, 0 , 2019-07-18
AD7606\AD7606.runs\impl_1\.place_design.begin.rst, 180 , 2019-07-18
AD7606\AD7606.runs\impl_1\.place_design.end.rst, 0 , 2019-07-18
AD7606\AD7606.runs\impl_1\.route_design.begin.rst, 180 , 2019-07-18
AD7606\AD7606.runs\impl_1\.route_design.end.rst, 0 , 2019-07-18
AD7606\AD7606.runs\impl_1\.vivado.begin.rst, 179 , 2019-07-18
AD7606\AD7606.runs\impl_1\.vivado.end.rst, 0 , 2019-07-18
AD7606\AD7606.runs\impl_1\.Vivado_Implementation.queue.rst, 0 , 2019-07-18
AD7606\AD7606.runs\impl_1\.write_bitstream.begin.rst, 180 , 2019-07-18
AD7606\AD7606.runs\impl_1\.write_bitstream.end.rst, 0 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test.bit, 4045670 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test.tcl, 5851 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test.vdi, 23226 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_clock_utilization_routed.rpt, 10635 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_control_sets_placed.rpt, 3916 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_drc_opted.pb, 37 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_drc_opted.rpt, 1531 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_drc_opted.rpx, 352 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_drc_routed.pb, 37 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_drc_routed.rpt, 1535 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_drc_routed.rpx, 353 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_io_placed.rpt, 119607 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_methodology_drc_routed.pb, 52 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_methodology_drc_routed.rpt, 6950 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_methodology_drc_routed.rpx, 9039 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_opt.dcp, 231479 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_placed.dcp, 244116 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_power_routed.rpt, 8136 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_power_routed.rpx, 40772 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_power_summary_routed.pb, 723 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_routed.dcp, 256372 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_route_status.pb, 43 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_route_status.rpt, 588 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_timing_summary_routed.rpt, 92879 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_timing_summary_routed.rpx, 72617 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_utilization_placed.pb, 224 , 2019-07-18
AD7606\AD7606.runs\impl_1\ad706_test_utilization_placed.rpt, 8667 , 2019-07-18
AD7606\AD7606.runs\impl_1\gen_run.xml, 6154 , 2019-07-18
AD7606\AD7606.runs\impl_1\htr.txt, 405 , 2019-07-18
AD7606\AD7606.runs\impl_1\init_design.pb, 2014 , 2019-07-18
AD7606\AD7606.runs\impl_1\ISEWrap.js, 7308 , 2019-07-18
AD7606\AD7606.runs\impl_1\ISEWrap.sh, 1623 , 2019-07-18
AD7606\AD7606.runs\impl_1\opt_design.pb, 7497 , 2019-07-18
AD7606\AD7606.runs\impl_1\place_design.pb, 12978 , 2019-07-18
AD7606\AD7606.runs\impl_1\project.wdf, 3634 , 2019-07-18
AD7606\AD7606.runs\impl_1\route_design.pb, 13819 , 2019-07-18
AD7606\AD7606.runs\impl_1\rundef.js, 1558 , 2019-07-18
AD7606\AD7606.runs\impl_1\runme.bat, 229 , 2019-07-18
AD7606\AD7606.runs\impl_1\runme.log, 23349 , 2019-07-18
AD7606\AD7606.runs\impl_1\runme.sh, 1421 , 2019-07-18
AD7606\AD7606.runs\impl_1\usage_statistics_webtalk.html, 32523 , 2019-07-18
AD7606\AD7606.runs\impl_1\usage_statistics_webtalk.xml, 46584 , 2019-07-18
AD7606\AD7606.runs\impl_1\vivado.jou, 721 , 2019-07-18
AD7606\AD7606.runs\impl_1\vivado.pb, 149 , 2019-07-18
AD7606\AD7606.runs\impl_1\write_bitstream.pb, 3291 , 2019-07-18
AD7606\AD7606.runs\synth_1\.vivado.begin.rst, 179 , 2019-07-18
AD7606\AD7606.runs\synth_1\.vivado.end.rst, 0 , 2019-07-18
AD7606\AD7606.runs\synth_1\.Vivado_Synthesis.queue.rst, 0 , 2019-07-18
AD7606\AD7606.runs\synth_1\.Xil\ad706_test_propImpl.xdc, 6795 , 2019-07-18
AD7606\AD7606.runs\synth_1\ad706_test.dcp, 41106 , 2019-07-18
AD7606\AD7606.runs\synth_1\ad706_test.tcl, 2407 , 2019-07-18

0 (0%)

好评

0 (0%)

中评

0 (0%)

差评

  • 在线客服

    点击这里给我发消息

    官方微信

    仅处理投诉、举报及平台使用问题;
    商品问题请咨询商家客服!

浏览记录